Die zukünftigen Transistoren

Blog

HeimHeim / Blog / Die zukünftigen Transistoren

Jul 31, 2023

Die zukünftigen Transistoren

Nature Band 620, Seiten 501–515 (2023)Diesen Artikel zitieren 9499 Zugriffe auf 33 altmetrische Metrikdetails Der Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET), ein Kernelement der Komplementärtechnik

Nature Band 620, Seiten 501–515 (2023)Diesen Artikel zitieren

9499 Zugriffe

33 Altmetrisch

Details zu den Metriken

Der Metall-Oxid-Halbleiter-Feldeffekttransistor (MOSFET), ein Kernelement der komplementären Metall-Oxid-Halbleiter-Technologie (CMOS), stellt eine der bedeutendsten Erfindungen seit der industriellen Revolution dar. Aufgrund der Anforderungen an höhere Geschwindigkeit, Energieeffizienz und Integrationsdichte integrierter Schaltkreisprodukte wurde die physikalische Gate-Länge von MOSFETs in den letzten sechs Jahrzehnten auf unter 20 Nanometer skaliert. Allerdings wird die Verkleinerung von Transistoren bei gleichzeitig niedrigem Stromverbrauch immer schwieriger, selbst für die hochmodernen Finnen-Feldeffekttransistoren. Hier präsentieren wir eine umfassende Bewertung der bestehenden und zukünftigen CMOS-Technologien und diskutieren die Herausforderungen und Chancen für das Design von FETs mit einer Gate-Länge von weniger als 10 Nanometern auf der Grundlage eines hierarchischen Rahmens, der für die FET-Skalierung etabliert wurde. Wir konzentrieren unsere Bewertung auf die Identifizierung der vielversprechendsten MOSFETs mit einer Gate-Länge von weniger als 10 Nanometern, basierend auf den Erkenntnissen aus früheren Skalierungsbemühungen sowie den Forschungsanstrengungen, die erforderlich sind, um die Transistoren für zukünftige integrierte Logikschaltkreisprodukte relevant zu machen. Wir erläutern auch unsere Vision zukünftiger Transistoren jenseits von MOSFETs und mögliche Innovationsmöglichkeiten. Wir gehen davon aus, dass Innovationen in der Transistortechnologie weiterhin eine zentrale Rolle bei der Entwicklung zukünftiger Materialien, Gerätephysik und -topologie, heterogener vertikaler und lateraler Integration sowie Computertechnologien spielen werden.

Dies ist eine Vorschau der Abonnementinhalte, Zugriff über Ihre Institution

Greifen Sie auf Nature und 54 weitere Nature Portfolio-Zeitschriften zu

Holen Sie sich Nature+, unser preisgünstigstes Online-Zugangsabonnement

29,99 $ / 30 Tage

jederzeit kündigen

Abonnieren Sie diese Zeitschrift

Erhalten Sie 51 gedruckte Ausgaben und Online-Zugriff

199,00 $ pro Jahr

nur 3,90 $ pro Ausgabe

Leihen oder kaufen Sie diesen Artikel

Die Preise variieren je nach Artikeltyp

ab 1,95 $

bis 39,95 $

Die Preise können örtlicher Steuern unterliegen, die beim Bezahlvorgang berechnet werden

Fleming, J. Instrument zur Umwandlung elektrischer Wechselströme in Gleichströme. US-Patent 803684A (1905).

Bardeen, J. & Brattain, W. Der Transistor, eine Halbleitertriode. Physik. Rev. 74, 230–231 (1948). Demonstration eines Festkörpertransistors.

Lilienfeld, JE Verfahren und Vorrichtung zur Steuerung elektrischer Ströme. US-Patent 1.745.175 (1930). Die ursprüngliche Idee eines FET.

Atalla, MM et al. Stabilisierung von Siliziumoberflächen durch thermisch gewachsene Oxide. Klingelsystem. Technik. J. 38, 749–783 (1959). Die Schlüsselinnovation, die für den Aufstieg von MOSFETs verantwortlich ist.

Kahng, D. Durch ein elektrisches Feld gesteuertes Halbleiterbauelement. US-Patent 3.102.230 (1963).

Auth, C. et al. Eine 10-nm-Hochleistungs- und stromsparende CMOS-Technologie mit FinFET-Transistoren der 3. Generation, selbstausrichtender Quad-Strukturierung, Kontakt über aktivem Gate und lokalen Kobaltverbindungen. Im IEEE International Electron Devices Meeting 673–676 (IEEE, 2017).

Dennard, R. et al. Design ionenimplantierter MOSFETs mit sehr kleinen physikalischen Abmessungen. IEEE J. Solid State Circuits 9, 256–268 (1974).

Artikel ADS Google Scholar

Mistry, K. et al. Eine teilweise verarmte SOI-CMOS-Technologie mit 2,0 V und 0,35 µm. In IEEE International Electron Devices Meeting 583–586 (IEEE, 1997).

Tenbroek, B. et al. Selbsterwärmungseffekte in SOI-MOSFETs und ihre Messung durch Kleinsignal-Leitfähigkeitstechniken. IEEE Trans. Electron Devices 43, 2240–2248 (1996).

Artikel ADS Google Scholar

Ghani, T. et al. Eine 90-nm-Logiktechnologie für die Großserienfertigung mit neuartigen CMOS-Transistoren aus verspanntem Silizium mit einer Gatelänge von 45 nm. Im IEEE International Electron Devices Meeting 978–980 (IEEE, 2003). Kommerzialisierung der Strained-Silicium-Technologie.

Mistry, K. et al. Eine 45-nm-Logiktechnologie mit High-k+-Metall-Gate-Transistoren, verspanntem Silizium, 9 Cu-Verbindungsschichten, 193-nm-Trockenstrukturierung und 100 % bleifreier Verpackung. Im IEEE International Electron Devices Meeting 247–250 (IEEE, 2007). Kommerzialisierung der High-k + Metal-Gate-CMOS-Technologie.

Auth, C. et al. Eine 22-nm-CMOS-Technologie mit hoher Leistung und geringem Stromverbrauch mit vollständig verarmten Tri-Gate-Transistoren, selbstausrichtenden Kontakten und MIM-Kondensatoren mit hoher Dichte. Im IEEE VLSI Technology Symposium 131–132 (IEEE, 2012). Kommerzialisierung von 3D-FinFETs.

Bohr, M., Chau, R., Ghani, T. & Mistry, K. Die High-k-Lösung. IEEE Spectr. 44, 29–35 (2007).

Artikel Google Scholar

Kuhn, KJ Überlegungen zur ultimativen CMOS-Skalierung. IEEE Trans. Electron Devices 59, 1813–1828 (2012).

Artikel ADS CAS Google Scholar

Mehr Moore-Tisch. (Seite 13, Tabelle MM9) International Roadmap for Devices and Systems IRDS 2022 More Moore (ieee.org) (2022).

Luryi, S. Quantenkapazitätsgeräte. Appl. Physik. Lette. 52, 501–503 (1988).

Artikel ADS Google Scholar

Sze, SM & Ng, KK Physics of Semiconductor Devices 3. Auflage (Wiley-Interscience, 2007).

Asenov, A. Durch zufällige Dotierstoffe induzierte Senkung der Schwellenspannung und Schwankungen in Sub-0,1-µm-MOSFETs: eine atomistische 3D-Simulationsstudie. IEEE Trans. Electron Devices 45, 2505–2513 (1998).

Artikel ADS CAS Google Scholar

Dadgour, H., Endo, K., De, V. & Banerjee, K. Modellierung und Analyse von Kornorientierungseffekten in neuen Metal-Gate-Bauelementen und Auswirkungen auf die SRAM-Zuverlässigkeit. Im IEEE International Electron Devices Meeting 705–708 (IEEE, 2008).

Kuhn, K. et al. Variation der Prozesstechnologie. IEEE Trans. Electron Devices 58, 2197–2208 (2011).

Artikel ADS Google Scholar

Grasser, T. et al. NBTI in nanoskaligen MOSFETs – der ultimative Modellierungsmaßstab. IEEE Trans. Electron Devices 61, 3586–3593 (2014).

Artikel ADS Google Scholar

Cartier, E. et al. Grundlegende Aspekte der Zuverlässigkeit von HfO2-basierten High-k-Metall-Gate-Stapeln und Auswirkungen auf die Zinnskalierung. Im IEEE International Electron Devices Meeting 441–444 (IEEE, 2011).

Yu, B., Wann, C., Nowak, ED, Noda, K. & Hu, C. Kurzkanaleffekt verbessert durch laterale Kanaltechnik in MOSFETs im tiefen Submikrometerbereich. IEEE Trans. Electron Devices 44, 627–634 (1997).

Artikel ADS CAS Google Scholar

Welser, J., Hoyt, JL & Gibbons, JF NMOS- und PMOS-Transistoren, hergestellt in verspannten Silizium-/relaxierten Silizium-Germanium-Strukturen. Im IEEE International Electron Devices Meeting 1000–1002 (IEEE, 1992).

Ota, K. et al. Neuartige lokal verformte Kanaltechnik für Hochleistungs-55-nm-CMOS. Im IEEE International Electron Devices Meeting 27–30 (IEEE, 2002).

Yeo, Y., Lu, Q., King, TJ. & Hu, C. Verbesserte Leistung in Sub-100-nm-CMOSFETs unter Verwendung von verspanntem epitaktischem Silizium-Germanium. Im IEEE International Electron Devices Meeting 753–756 (IEEE, 2000).

Takagi, S. et al. III–V/Ge-Kanal-MOS-Gerätetechnologien im Nano-CMOS-Zeitalter. Jpn J. Appl. Physik. 54, 06FA01 (2015).

Artikel Google Scholar

von Alamo, JA et al. III–V-MOSFETs im Nanometerbereich. IEEE J. Electon Devices Soc. 4, 205–214 (2016).

Artikel Google Scholar

Ja, G. et al. 5-nm-CMOS-Produktionstechnologieplattform mit vollwertigem EUV und hochmobilen Kanal-FinFETs mit dichtesten 0,021 µm2 SRAM-Zellen für mobile SoC- und Hochleistungs-Computing-Anwendungen. Im IEEE International Electron Devices Meeting 879–882 (IEEE, 2019).

Skotnicki, T. & Boeuf, F. Wie können Kanalmaterialien mit hoher Mobilität die Leistung in fortschrittlichen CMOS steigern oder verschlechtern? Im IEEE VLSI Technology Symposium 153–154 (IEEE, 2010).

Jin, D., Kim, D., Kim, T. & del Alamo, JA Quantenkapazität in verkleinerten III–V-FETs. Im IEEE International Electron Devices Meeting 495–498 (IEEE, 2009).

Koba, S. et al. Grenzen der Kanallängenskalierung von III-V-Kanal-MOSFETs, die durch direktes Source-Drain-Tunneling gesteuert werden. Jpn J. Appl. Physik. 53, 04EC10 (2014).

Artikel CAS Google Scholar

Zheng, Z. et al. Integrierte komplementäre Logikschaltkreise auf Galliumnitridbasis. Nat. Elektron. 4, 595–603 (2021).

Artikel CAS Google Scholar

Yan, R., Ourmazd, A. & Lee, K. Skalierung des Si-MOSFET: von Bulk zu SOI zu Bulk. IEEE Trans. Electron Devices 39, 1704–1710 (1992). Bietet eine einfache, aber wichtige MOSFET-Skalierungsrichtlinie.

Artikel ADS CAS Google Scholar

Frank, D., Taur, Y. & Wong, H. Verallgemeinerte Skalenlänge für zweidimensionale Effekte in MOSFETs. IEEE Electron Device Lett. 19, 385–387 (1998).

Artikel ADS CAS Google Scholar

Ando, ​​T. Ultimative Skalierung von High-k-Gate-Dielektrika: Higher-κ oder Grenzflächenschichtspülung? Materialien 5, 478–500 (2012).

Artikel ADS CAS PubMed PubMed Central Google Scholar

Robertson, J. & Wallace, RM High-k-Materialien und Metall-Gates für CMOS-Anwendungen. Mater. Wissenschaft. Ing. R 88, 1–41 (2014).

Artikel Google Scholar

Hayashi, Y. Feldeffekttransistor vom Gate-Isolator-Typ. Japanisches Patent JP1791730 (eingereicht am 24. Juni 1980) (1993). Vorschlag für einen 3D-Transistor.

Hisamoto, D., Kaga, T., Kawamoto, Y. & Takeda, E. Ein vollständig verarmter Lean-Channel-Transistor (DELTA) – ein neuartiger vertikaler ultradünner SOI-MOSFET. Im IEEE International Electron Devices Meeting 833–836 (IEEE, 1989).

Hisamoto, D. et al. Ein Faltkanal-MOSFET für die Ära im Sub-Zehntel-Mikrometer-Bereich. Im IEEE International Electron Devices Meeting 1032–1034 (IEEE, 1998). Eine Prototyp-Demonstration eines ultradünnen 3D-FinFET.

Huang, X. et al. Sub-50-nm-FinFET: PMOS. Im IEEE International Electron Devices Meeting 67–70 (IEEE, 1999).

Rasouli, S., Dadgour, H., Endo, K., Koike, H. & Banerjee, K. Designoptimierung der FinFET-Dominologik unter Berücksichtigung der Breitenquantisierungseigenschaft. IEEE Trans. Electon Devices 57, 2934–2943 (2010).

Artikel ADS Google Scholar

Kawasaki, H. Herausforderungen und Lösungen der FinFET-Integration in einer SRAM-Zelle und einer Logikschaltung für 22-nm-Knoten und darüber hinaus. In IEEE International Electron Devices Meeting 289–292 (IEEE, 2009).

Niimi, H. et al. Sub-10−9 Ω-cm2 Kontaktwiderstand vom n-Typ für die FinFET-Technologie. IEEE Electron Device Lett. 37, 1371–1374 (2016).

Artikel ADS CAS Google Scholar

He, X. et al. Einfluss einer aggressiven Finnenbreitenskalierung auf die Eigenschaften von FinFET-Geräten. Im IEEE International Electron Devices Meeting 493–496 (IEEE, 2017).

Appenzeller, J. et al. Auf dem Weg zur Nanodrahtelektronik. IEEE Trans. Electron Devices 55, 2827–2845 (2008).

Artikel ADS CAS Google Scholar

Mizutani, T. et al. Schwellenspannungs- und Stromvariabilität von extrem schmalen Silizium-Nanodraht-MOSFETs mit einer Breite von bis zu 2 nm. Im Silicon Nanoelectronics Workshop 1–2 (Herausgeber: Japan Society of Applied Physics, IEEE, 2015).

Mertens, H. et al. Gate-Allround-MOSFETs basierend auf vertikal gestapelten horizontalen Si-Nanodrähten in einem Metall-Gate-Ersatzprozess auf massiven Si-Substraten. Im IEEE VLSI Technology Symposium 1–2 (IEEE, 2016).

Yang, B. et al. Vertikale Silizium-Nanodraht-Bildung und Gate-rundum-MOSFET. IEEE Electron Device Lett. 29, 791–794 (2008).

Artikel ADS CAS Google Scholar

Loubet, N. et al. Gestapelter Nanoblatt-Gate-Allround-Transistor, der eine Skalierung über FinFET hinaus ermöglicht. Im IEEE VLSI Technology Symposium 230–231 (IEEE, 2017).

Jagannathan, H. et al. Vertikaltransport-Nanoblatttechnologie für die CMOS-Skalierung über laterale Transportgeräte hinaus. Im IEEE International Electron Devices Meeting 557–560 (IEEE, 2021).

Weckx, P. et al. Neuartige Forksheet-Gerätearchitektur als ultimatives Logikskalierungsgerät in Richtung 2 nm. Im IEEE International Electron Devices Meeting 36.5.1–36.5.4 (IEEE, 2019).

Wang, R. et al. Experimentelle Studie zum quasiballistischen Transport in Silizium-Nanodraht-Transistoren und zum Einfluss von Selbsterwärmungseffekten. Im IEEE International Electron Devices Meeting 1.4.1–1.4.4 (IEEE, 2008).

Zhuge, J. et al. Richtlinien zur experimentellen Untersuchung und Designoptimierung der charakteristischen Variabilität in der Silizium-Nanodraht-CMOS-Technologie. Im IEEE International Electron Devices Meeting 61–64 (IEEE, 2009).

Iijima, S. & Ichihashi, T. Einschalige Kohlenstoffnanoröhren mit einem Durchmesser von 1 nm. Nature 363, 603–605 (1993).

Artikel ADS CAS Google Scholar

Novoselov, KS et al. Zweidimensionale Atomkristalle. Proz. Natl Acad. Wissenschaft. USA 102, 10451–10453 (2005).

Artikel ADS CAS PubMed PubMed Central Google Scholar

Ajayan, P., Kim, P. & Banerjee, K. Zweidimensionale Van-der-Waals-Materialien. Physik. Heute 69, 38–44 (2016).

Artikel CAS Google Scholar

Tans, S., Verschueren, A. & Dekker, C. Raumtemperaturtransistor basierend auf einer einzelnen Kohlenstoffnanoröhre. Nature 393, 49–52 (1998). Demonstration eines Kohlenstoff-Nanoröhrchen-Transistors.

Artikel ADS CAS Google Scholar

Javey, A. et al. High-k-Dielektrika für fortschrittliche Kohlenstoff-Nanoröhrchen-Transistoren und Logikgatter. Nat. Mater. 1, 241–246 (2002).

Artikel ADS CAS PubMed Google Scholar

Wang, Z. et al. Wachstum und Leistung von Yttriumoxid als ideales High-k-Gate-Dielektrikum für kohlenstoffbasierte Elektronik. Nano Lett. 10, 2024–2030 (2010).

Artikel ADS CAS PubMed Google Scholar

Javey, A., Guo, J., Wang, Q., Lundstrom, M. & Dai, H. Ballistische Kohlenstoff-Nanoröhrchen-Feldeffekttransistoren. Natur 424, 654–657 (2003).

Artikel ADS CAS PubMed Google Scholar

Franklin, A. & Chen, Z. Längenskalierung von Kohlenstoff-Nanoröhrchen-Transistoren. Nat. Nanotechnologie. 5, 858–862 (2010).

Artikel ADS CAS PubMed Google Scholar

Qiu, C. et al. Skalierung komplementärer Kohlenstoff-Nanoröhrchen-Transistoren auf eine Gate-Länge von 5 nm. Wissenschaft 355, 271–276 (2017).

Artikel ADS CAS PubMed Google Scholar

Shabrawy, K., Maharatna, K., Bagnall, D. & Hashimi, B. Modellierung der SWCNT-Bandlücke und der effektiven Massenvariation mithilfe des Monte-Carlo-Ansatzes. IEEE Trans. Nanotechnologie. 9, 184–193 (2010).

Cao, Q. et al. Endverbundene Kontakte für Kohlenstoff-Nanoröhrchen-Transistoren mit niedrigem, größenunabhängigem Widerstand. Wissenschaft 350, 68–72 (2015).

Artikel ADS CAS PubMed Google Scholar

Cao, Q., Tersoff, J., Farmer, D., Zhu, Y. & Han, S. Kohlenstoffnanoröhrentransistoren, skaliert auf eine Grundfläche von 40 Nanometern. Wissenschaft 356, 1369–1372 (2017). Demonstration von Kohlenstoffnanoröhren-FETs, die modernste Si-MOSFETs übertreffen.

Artikel ADS CAS PubMed Google Scholar

Jin, S. et al. Verwendung nanoskaliger Thermokapillarströme zur Erzeugung von Anordnungen rein halbleitender einwandiger Kohlenstoffnanoröhren. Nat. Nanotechnologie. 8, 347–355 (2013).

Artikel ADS CAS PubMed Google Scholar

Shulaker, M. et al. Kohlenstoff-Nanoröhren-Computer. Natur 501, 526–530 (2013).

Artikel ADS CAS PubMed Google Scholar

Ghosh, S., Bachilo, S. & Weisman, R. Erweiterte Sortierung einwandiger Kohlenstoffnanoröhren durch nichtlineare Dichtegradienten-Ultrazentrifugation. Nat. Nanotechnologie. 5, 443–450 (2010).

Artikel ADS CAS PubMed Google Scholar

Cao, Q. et al. Arrays aus einwandigen Kohlenstoffnanoröhren mit vollständiger Oberflächenbedeckung für Hochleistungselektronik. Nat. Nanotechnologie. 8, 180–186 (2013).

Artikel ADS CAS PubMed Google Scholar

Cao, Q., Han, S. & Tulevski, G. Dielektrophoretische Randfeldanordnung von halbleitenden Nanoröhrenarrays mit ultrahoher Dichte und selbstbegrenztem Abstand. Nat. Komm. 5, 5071 (2014).

Artikel ADS CAS PubMed Google Scholar

Liu, L. et al. Ausgerichtete, hochdichte halbleitende Kohlenstoff-Nanoröhrchen-Arrays für Hochleistungselektronik. Wissenschaft 368, 850–856 (2020).

Artikel ADS CAS PubMed Google Scholar

Islam, A. Variabilität und Zuverlässigkeit einwandiger Kohlenstoff-Nanoröhrchen-Feldeffekttransistoren. Elektronik 2, 332–367 (2013).

Artikel Google Scholar

Novoselov, KS et al. Elektrischer Feldeffekt in atomar dünnen Kohlenstofffilmen. Wissenschaft 306, 666–669 (2004).

Artikel ADS CAS PubMed Google Scholar

Iannaccone, G., Bonaccorso, F., Colombo, L. & Fiori, G. Quantentechnik von Transistoren basierend auf 2D-Materialheterostrukturen. Nat. Nanotechnologie. 13, 138–191 (2018).

Google Scholar

Li, M., Su, S., Wong, H. & Li, L. Wie 2D-Halbleiter das Mooresche Gesetz erweitern könnten? Natur 567, 169–170 (2019).

Artikel ADS CAS PubMed Google Scholar

Wang, H. et al. Graphen-Nanobänder für die Quantenelektronik. Nature Rev. Phys. 3, 791–802 (2021).

Artikel ADS CAS Google Scholar

Radisavljevic, B., Radenovic, A., Brivio, J., Giacometti, V. & Kis, A. Einschichtige MoS2-Transistoren. Nat. Nanotechnologie. 6, 147–150 (2011). Demonstration eines Top-Gate-Monoschicht-2D-Halbleiter-FET.

Artikel ADS CAS PubMed Google Scholar

Fuhrer, M. & Hone, J. Messung der Mobilität in Dual-Gate-MoS2-Transistoren. Nat. Nanotechnologie. 8, 146–147 (2013).

Artikel ADS CAS PubMed Google Scholar

Kaasbjerg, K., Thygesen, K. & Jacobsen, K. Phononenbegrenzte Mobilität in einschichtigem MoS2 vom n-Typ nach ersten Prinzipien. Physik. Rev. B 85, ​​115317 (2012).

Artikel ADS Google Scholar

Liu, W. et al. Hochleistungsfähiger Wenigschicht-MoS2-Feldeffekttransistor mit rekordniedrigem Kontaktwiderstand. In IEEE International Electron Devices Meeting 499–502 (IEEE, 2013).

Jena, D. & Konar, A. Verbesserung der Ladungsträgermobilität in Halbleiter-Nanostrukturen durch dielektrische Technik. Physik. Rev. Lett. 98, 136805 (2007).

Artikel ADS PubMed Google Scholar

Liu, W. et al. Rolle von Metallkontakten beim Entwurf von Hochleistungs-Monoschicht-WSe2-Feldeffekttransistoren vom N-Typ. Nano Lett. 13, 1983–1990 (2013). Demonstration eines Hochleistungs-Monoschicht-2D-TMD-FET.

Artikel ADS CAS PubMed Google Scholar

Fang, H. et al. Hochleistungsfähige einschichtige WSe2-p-FETs mit chemisch dotierten Kontakten. Nano Lett. 12, 3788–3792 (2012).

Artikel ADS CAS PubMed Google Scholar

Das, S., Chen, H., Penumatcha, A. & Appenzeller, J. Hochleistungs-Mehrschicht-MoS2-Transistoren mit Scandiumkontakten. Nano Lett. 13, 100–105 (2012).

Artikel ADS PubMed Google Scholar

Kang, J., Liu, W., Sarkar, D., Jena, D. & Banerjee, K. Computergestützte Untersuchung von Metallkontakten zu einschichtigen Übergangsmetalldichalkogenid-Halbleitern. Physik. Rev. X 4, 031005 (2014). Umfassende Ab-initio-Analyse von Metallkontakten zu 2D-Halbleitern.

CAS Google Scholar

English, C., Shine, G., Dorgan, V., Saraswat, K. & Pop, E. Verbesserte Kontakte zu MoS2-Transistoren durch Ultrahochvakuum-Metallabscheidung. Nano Lett. 16, 3824–3830 (2016).

Artikel ADS CAS PubMed Google Scholar

Kang, J., Sarkar, D., Khatami, Y. & Banerjee, K. Vorschlag für monolithische Logikschaltungen ausschließlich aus Graphen. Appl. Phy. Lette. 103, 083113 (2013).

Artikel ADS Google Scholar

Yeh, C., Cao, W., Pal, A., Parto, K. & Banerjee, K. Die flächenselektive CVD-Technologie ermöglichte Top-Gate- und skalierbare 2D-Heterojunction-Transistoren mit dynamisch abstimmbarer Schottky-Barriere. Im IEEE International Electron Devices Meeting 23.4.1–23.4.4 (IEEE, 2019). Demonstration des Wachstums von einkristallinen 2D-Halbleitern an vorgefertigten Standorten, die Hochleistungs-FETs ermöglichen.

Kappera, R. et al. Phasenentwickelte niederohmige Kontakte für ultradünne MoS2-Transistoren. Nat. Mater. 13, 1128–1134 (2014).

Artikel ADS CAS PubMed Google Scholar

Shen, P. et al. Extrem niedriger Kontaktwiderstand zwischen Halbmetall- und Monoschicht-Halbleitern. Natur 593, 211–217 (2021).

Artikel ADS CAS PubMed Google Scholar

O'Brien, K. et al. Weiterentwicklung des 2D-Monoschicht-CMOS durch Kontakt-, Kanal- und Schnittstellentechnik. Im IEEE International Electron Devices Meeting 146–149 (IEEE, 2021).

Chou, A. et al. Antimon-Halbmetallkontakt mit verbesserter thermischer Stabilität für leistungsstarke 2D-Elektronik. Im IEEE International Electron Devices Meeting 150–153 (IEEE, 2021)

Li, W. et al. Annäherung an die Quantengrenze in zweidimensionalen Halbleiterkontakten. Natur 613, 274–279 (2023).

Artikel ADS CAS PubMed Google Scholar

Cao, W., Chu, J.-H., Parto, K. & Banerjee, K. Ein modenbalanciertes rekonfigurierbares Logikgatter, gebaut in einer Van-der-Waals-Schicht. npj 2D Mater. Appl. 5, 20 (2021).

Artikel Google Scholar

Fang, H. et al. Entartete n-Dotierung von Übergangsmetalldichalkogeniden mit wenigen Schichten durch Kalium. Nano Lett. 13, 1991–1995 (2013).

Artikel ADS CAS PubMed Google Scholar

Kang, J. et al. On-Chip-Interkalations-Graphen-Induktoren für Hochfrequenzelektronik der nächsten Generation. Nat. Elektron. 1, 46–51 (2018).

Artikel Google Scholar

Smets, Q. et al. Ultraskalierte MOCVD-MoS2-MOSFETs mit 42-nm-Kontaktabstand und 250 µA/µm Drainstrom. Im IEEE International Electron Devices Meeting 23.4.1–23.4.4 (IEEE, 2019).

Yang, L., Lee, R., Rao, S., Tsai, W. & Ye, P. MoS2-FETs mit 10 nm nominaler Kanallänge, EOT 2,5 nm und 0,52 mA/µm Drainstrom. Device Research Conference 237–238 (IEEE, 2015).

Li, K. et al. U-förmiger MoS2-MOSFET mit 10 nm Kanallänge und Poly-Si-Source/Drain, der als Keim für die vollständige Wafer-CVD-MoS2-Verfügbarkeit dient. Im IEEE-Symposium zu VLSI-TSA 52–53 (IEEE, 2016).

Cao, W., Liu, W. & Banerjee, K. Aussichten ultradünner 2D-FETs mit Nanodraht-Gate für die CMOS-Technologie der nächsten Generation. Im IEEE International Electron Devices Meeting 14.7.1–14.7.4 (IEEE, 2016).

Desai, S. et al. MoS2-Transistor mit 1-Nanometer-Gate-Längen. Wissenschaft 354, 99–102 (2016).

Artikel ADS CAS PubMed Google Scholar

Wu, F. et al. Vertikale MoS2-Transistoren mit Gatelängen unter 1 nm. Natur 603, 259–264 (2022).

Artikel ADS CAS PubMed Google Scholar

Osada, M. & Sasaki, T. Zweidimensionale dielektrische Nanoblätter: neuartige Nanoelektronik aus Nanokristallbausteinen. Adv. Mater. 24, 210–228 (2012).

Artikel CAS PubMed Google Scholar

Chamlagain, B. et al. Thermisch oxidiertes 2D-TaS2 als High-κ-Gate-Dielektrikum für MoS2-Feldeffekttransistoren. 2D-Mater. 4, 031002 (2017).

Artikel Google Scholar

Kang, K. et al. Drei Atome dicke halbleitende Filme mit hoher Mobilität und Homogenität im Wafermaßstab. Natur 520, 656–660 (2015). Wafer-Maßstab (4 Zoll) Wachstum von 2D-Halbleitern.

Artikel ADS CAS PubMed Google Scholar

Wang, Y. et al. Feldeffekttransistoren aus lösungsgewachsenem zweidimensionalem Telluren. Nat. Elektron. 1, 228–236 (2018).

Artikel Google Scholar

Kang, J., Liu, W. & Banerjee, K. Hochleistungs-MoS2-Transistoren mit Molybdänkontakten mit niedrigem Widerstand. Appl. Physik. Lette. 104, 093106 (2014).

Artikel ADS Google Scholar

Guo, Y. et al. Untersuchung der Widerstandsverteilung am Kontakt zwischen Molybdändisulfid und Metallen. ACS Nano 8, 7771–7779 (2014).

Artikel CAS PubMed Google Scholar

Baugher, B., Churchill, H., Yang, Y. & Jarillo-Herrero, P. Intrinsische elektronische Transporteigenschaften von hochwertigem Monoschicht- und Doppelschicht-MoS2. Nano Lett. 13, 4212–4216 (2013).

Artikel ADS CAS PubMed Google Scholar

Kiriya, D., Tosun, M., Zhao, P., Kang, J. & Javey, A. Luftstabile Oberflächen-Ladungstransferdotierung von MoS2 durch Benzylviologen. Marmelade. Chem. Soc. 136, 7853–7856 (2014).

Artikel CAS PubMed Google Scholar

Cui, X. et al. Multiterminale Transportmessungen von MoS2 mit einer Van-der-Waals-Heterostruktur-Geräteplattform. Nat. Nanotechnologie. 10, 534–540 (2015).

Artikel ADS CAS PubMed Google Scholar

Li, S. et al. Dickenskalierungseffekt auf Grenzflächenbarriere und elektrischen Kontakt zu zweidimensionalen MoS2-Schichten. ACS Nano 8, 12836–12842 (2014).

Artikel CAS PubMed Google Scholar

Allain, A., Kang, J., Banerjee, K. & Kis, A. Elektrische Kontakte zu zweidimensionalen Halbleitern. Nat. Mater. 14, 1195–1205 (2015).

Artikel ADS CAS PubMed Google Scholar

Dean, CR et al. Bornitrid-Substrate für hochwertige Graphen-Elektronik. Nat. Nanotechnologie. 5, 722–726 (2010).

Artikel ADS CAS PubMed Google Scholar

Liu, Y. et al. Die Leistungsgrenze von Sub-100-nm-Molybdändisulfid-Transistoren wird erweitert. Nano Lett. 16, 6337–6342 (2016).

Artikel ADS CAS PubMed Google Scholar

Smithe, K., Suryavanshi, S., Rojo, M., Tedjarati, A. & Pop, E. Geringe Variabilität in synthetischen Monoschicht-MoS2-Geräten. ACS Nano 11, 8456–8463 (2017).

Artikel CAS PubMed Google Scholar

Liu, W., Sarkar, D., Kang, J., Cao, W. & Banerjee, K. Einfluss des Kontakts auf den Betrieb und die Leistung von Back-Gate-Monoschicht-MoS2-Feldeffekttransistoren. ACS Nano 9, 7904–7912 (2015).

Artikel CAS PubMed Google Scholar

Zhang, W., Huang, Z., Zhang, W. & Li, Y. Zweidimensionale Halbleiter mit möglicher hoher Mobilität bei Raumtemperatur. Nano Res. 7, 1731–1737 (2014).

Artikel CAS Google Scholar

Cui, Y. et al. Hochleistungs-Monoschicht-WS2-Feldeffekttransistoren auf High-κ-Dielektrika. Adv. Mater. 27, 5230–5234 (2015).

Artikel CAS PubMed Google Scholar

Withers, F., Bointon, TH, Hudson, DC, Craciun, MF & Russo, S. Elektronentransport von WS2-Transistoren in einer dielektrischen Umgebung aus hexagonalem Bornitrid. Wissenschaft. Rep. 4, 4967 (2014).

Song, HS et al. Hochleistungs-Top-Gate-Monoschicht-SnS2-Feldeffekttransistoren und ihre integrierten Logikschaltungen. Nanoscale 5, 9666–9670 (2013).

Artikel ADS CAS PubMed Google Scholar

Lin, Y. et al. Einschichtiges ReS2: zweidimensionaler Halbleiter mit einstellbarer Anisotropie in der Ebene. ACS Nano 9, 11249–11257 (2015).

Artikel CAS PubMed Google Scholar

Wang, X. et al. Wachstum der kristallinen Monoschicht MoSe2 durch chemische Gasphasenabscheidung. ACS Nano 8, 5125–5131 (2014).

Artikel CAS PubMed Google Scholar

Podzorov, V., Gershenson, ME, Kloc, Ch., Zeis, R. & Bucher, E. Hochmobile Feldeffekttransistoren auf Basis von Übergangsmetalldichalkogeniden. Appl. Physik. Lette. 84, 3301–3303 (2004).

Artikel ADS CAS Google Scholar

Li, S. et al. Halogenidunterstütztes atmosphärisches Druckwachstum großer WSe2- und WS2-Monoschichtkristalle. Appl. Mater. Heute 1, 60–66 (2015).

Artikel Google Scholar

Pei, T. et al. Wenigschichtige SnSe2-Transistoren mit hohen Ein-/Aus-Verhältnissen. Appl. Physik. Lette. 108, 053506 (2016).

Artikel ADS Google Scholar

Yang, S. et al. Schichtabhängige elektrische und optoelektronische Reaktionen von ReSe2-Nanoblatttransistoren. Nanoscale 6, 7226–7231 (2014).

Artikel ADS CAS PubMed Google Scholar

Feng, W., Zheng, W., Cao, W. & Hu, P. Back-Gate-Mehrschicht-InSe-Transistoren mit verbesserter Ladungsträgermobilität durch Unterdrückung der Ladungsträgerstreuung an einer dielektrischen Grenzfläche. Adv. Mater. 26, 6587–6593 (2014).

Artikel CAS PubMed Google Scholar

Zhang, Z. et al. Zweistufige Erhitzungssynthese eines orthorhombischen schwarzen Phosphor-Einkristalls mit einer Größe von weniger als 3 Millimetern durch chemische Dampftransportreaktionsmethode. Wissenschaft. China Mater. 59, 122–134 (2016).

Artikel CAS Google Scholar

Li, L. et al. Feldeffekttransistoren mit schwarzem Phosphor. Nat. Nanotechnologie. 9, 372–377 (2014).

Artikel ADS CAS PubMed Google Scholar

Qiao, J., Kong, X., Hu, Z., Yang, F. & Ji, W. Transportanisotropie mit hoher Mobilität und linearer Dichroismus in mehrschichtigem schwarzem Phosphor. Nat. Komm. 5, 4475 (2014).

Spät, DJ et al. GaS- und GaSe-Ultradünnschichttransistoren. Adv. Mater. 24, 3549–3554 (2012).

Artikel CAS PubMed Google Scholar

Chhowalla, M., Jena, D. & Zhang, H. Zweidimensionale Halbleiter für Transistoren. Nat. Rev. Mater. 1, 16052 (2016).

Artikel ADS CAS Google Scholar

Singh, J. et al. 14-nm-FinFET-Technologie für analoge und HF-Anwendungen. Im IEEE VLSI Technology Symposium 140–141 (IEEE, 2017).

Du, Y., Yang, L., Zhou, H. & Ye, P. Leistungssteigerung von Feldeffekttransistoren mit schwarzem Phosphor durch chemische Dotierung. IEEE Electron Device Lett. 37, 429–432 (2016).

Artikel ADS CAS Google Scholar

Yang, L. et al. Molekulare Dotierungstechnik mit Chlorid auf 2D-Materialien: WS2 und MoS2. Nano Lett. 14, 6275–6280 (2014).

Artikel ADS CAS PubMed Google Scholar

Pang, C., Wu, P., Appenzeller, J. & Chen, Z. EOT WS2-FET mit IDS > 600 µA/µm bei VDS = 1 V und SS < 70 mV/dez bei LG = 40 nm. Im IEEE International Electron Devices Meeting 3.4.1–3.4.4 (IEEE, 2020).

Cao, W., Kang, J., Sarkar, D., Liu, W. & Banerjee, K. 2D-Halbleiter-FETs – Projektionen und Design für Sub-10-nm-VLSI. IEEE Trans. Electron Devices 62, 3459–3469 (2015). Eine umfassende Skalierbarkeitsanalyse von 2D-FETs, die die Eignung bestimmter 2D-Halbleiter als optimale Kanalmaterialien für Sub-10-nm-FETs belegt.

Artikel ADS CAS Google Scholar

Liu, L., Kumar, S., Ouyang, Y. & Guo, J. Leistungsgrenzen von Monoschicht-Übergangsmetalldichalkogenidtransistoren. IEEE Trans. Electron Devices 58, 3042–3047 (2011).

Artikel ADS CAS Google Scholar

Liu, R. et al. Integrierte digitale Wechselrichter basierend auf zweidimensional anisotropen ReS2-Feldeffekttransistoren. Nat. Komm. 6, 6991 (2015).

Artikel ADS CAS PubMed Google Scholar

Mudd, G. et al. Abstimmung der Bandlücke exfolierter InSe-Nanoblätter durch Quanteneinschluss. Adv. Mater. 25, 5714–5718 (2013).

Artikel CAS PubMed PubMed Central Google Scholar

Chau, R. Prozess- und Verpackungsinnovationen für die Fortsetzung des Mooreschen Gesetzes und darüber hinaus. Im IEEE International Electron Devices Meeting 1.1.1–1.1.6 (IEEE, 2019).

Salahuddin, S. & Datta, S. Verwendung negativer Kapazität zur Spannungsverstärkung für nanoskalige Geräte mit geringem Stromverbrauch. Nano Lett. 8, 405–410 (2008). Vorschlag eines NC-FET.

Ionescu, AM et al. Modellierung und Entwurf eines Niederspannungs-SOI-MOSFET mit suspendiertem Gate (SG-MOSFET) mit einer Metall-über-Gate-Architektur in Proceedings International Symposium on Quality Electronic Design 496–501 (IEEE, 2002).

Huang, Q., Huang, R., Pan, Y., Tan, S. & Wang, Y. Resistiver Gate-Feldeffekttransistor: ein neuartiges Gerät mit steiler Flanke, das auf einem Metall-Isolator-Metall-Oxid-Gate-Stapel basiert . IEEE Electron Device Lett. 35, 877–879 (2014).

Artikel ADS CAS Google Scholar

Han, J., Moon, D. & Meyyappan, M. Nanoskaliger Vakuumkanaltransistor. Nano Lett. 17, 2146–2152 (2017).

Artikel ADS CAS PubMed Google Scholar

Shukla, N. et al. Ein Transistor mit steiler Flanke, der auf einem abrupten elektronischen Phasenübergang basiert. Nat. Komm. 6, 7812 (2015).

Artikel ADS CAS PubMed PubMed Central Google Scholar

Gnani, E., Reggiani, S., Gnudi, A. & Baccarani, G. Steilanstiegs-Nanodraht-FET mit einem Übergitter in der Quellenerweiterung. Festkörperelektron. 65–66, 108–113 (2011).

Qiu, C. et al. Dirac-Source-Feldeffekttransistoren als energieeffiziente, leistungsstarke elektronische Schalter. Wissenschaft 361, 387–392 (2018). Demonstration eines Dirac-Source-FET.

Artikel ADS CAS PubMed Google Scholar

Quinn, J., Kawamoto, G. & McCombe, B. Subbandspektroskopie durch Oberflächenkanaltunneln. Surfen. Wissenschaft. 73, 190–196 (1978). Vorschlag für eine Tunnel-FET-Struktur mit Band-zu-Band-Tunnelung.

Artikel ADS CAS Google Scholar

Appenzeller, J., Knoch, Y. & Avouris, P. Band-zu-Band-Tunneln in Kohlenstoff-Nanoröhrchen-Feldeffekttransistoren. Physik. Rev. Lett. 93, 196805 (2004).

Wan, J., Zaslavsky, A., Le Royer, C. & Cristoloveanu, S. Neuartiger bipolar verstärkter Tunnel-FET mit simuliertem hohem Einschaltstrom. IEEE Electron Device Lett. 34, 24–26 (2013).

Artikel ADS CAS Google Scholar

Saeidi, A. et al. Negative Kapazität als Leistungsverstärker für Tunnel-FETs und MOSFETs: eine experimentelle Studie. IEEE Electron Device Lett. 38, 1485–1488 (2017).

Artikel ADS CAS Google Scholar

Gopalakrishnan, K., Griffin, P. & Plummer, J. Stoßionisations-MOS (I-MOS) – Teil I: Geräte- und Schaltungssimulationen. IEEE Trans. Electron Devices 52, 69–76 (2005).

Artikel ADS CAS Google Scholar

Padilla, A., Chun Wing, Y., Shin, C., Hu, C. & King Liu, T.-J. Feedback-FET: ein neuartiger Transistor mit steilem Schaltverhalten bei niedrigen Vorspannungen. Im IEEE International Electron Devices Meeting 1–4 (IEEE, 2008).

Datta, S. & Das, B. Elektronisches Analogon des elektrooptischen Modulators. Appl. Physik. Lette. 56, 665–667 (1990). Vorschlag eines Spin-FET.

Artikel ADS CAS Google Scholar

Manchon, A. et al. Neue Perspektiven für die Rashba-Spin-Orbital-Kopplung. Nat. Mater. 14, 871–882 (2015).

Artikel ADS CAS PubMed Google Scholar

Banerjee, S., Register, L., Tutuc, E., Reddy, D. & MacDonald, A. Doppelschichtiger Pseudospin-Feldeffekttransistor (BiSFET): ein vorgeschlagenes neues Logikgerät. IEEE Electron Device Lett. 30, 158–160 (2009).

Artikel ADS Google Scholar

Akarvardar, K. et al. Designüberlegungen für komplementäre nanoelektromechanische Logikgatter. In IEEE International Electron Devices Meeting 299–302 (IEEE, 2007).

Dadgour, H., Hussain, M., Cassell, A., Singh, N. & Banerjee, K. Einfluss der Skalierung auf die Leistungs- und Zuverlässigkeitsverschlechterung von Metallkontakten in NEMS-Geräten. IEEE International Reliability Physics Symposium 280–289 (IEEE, 2011).

Cao, W., Sarkar, D., Khatami, Y., Kang, J. & Banerjee, K. Subthreshold-Swing-Physik von Tunnel-Feldeffekttransistoren. AIP Adv. 4, 067141 (2014).

Artikel ADS Google Scholar

Gandhi, R. et al. CMOS-kompatible Allround-Tunnel-FETs vom p-Typ mit vertikalem Silizium-Nanodraht-Gate und Unterschwellenhub von ≤ 50 mV/Dekade. IEEE Electron Device Lett. 32, 1504–1506 (2011).

Artikel ADS CAS Google Scholar

Tomioka, K., Yoshimura, M. & Fukui, T. Tunnel-Feldeffekttransistoren mit steiler Steigung unter Verwendung von III-V-Nanodrähten/Si-Heteroübergang. Im IEEE Symposium on VLSI Technology 47–48 (IEEE, 2012). Ein Heterojunction-Tunnel-FET mit steilem Anstieg.

Knoll, L. et al. Wechselrichter mit komplementären Tunnel-Feldeffekttransistoren aus gespanntem Si-Nanodraht. IEEE Electron Device Lett. 34, 813–815 (2013).

Artikel ADS CAS Google Scholar

Sarkar, D. et al. Ein subthermionischer Tunnel-Feldeffekttransistor mit einem atomar dünnen Kanal. Natur 526, 91–95 (2015). Ein 2D-Halbleiter-Kanaltunnel-FET mit steilem Anstieg.

Memisevic. E., Svensson, J., Hellenbrand, M., Lind, E. & Wernersson, L. Vertikaler InAs/GaAsSb/GaSb-Tunnel-Feldeffekttransistor auf Si mit S = 48 mV/Dekade und Ion = 10 µA/µm für Ioff = 1 nA/µm bei VDS = 0,3 V. In IEEE International Electron Devices Meeting 500–503 (IEEE, 2016).

Kim, S. et al. Dickengesteuerter Tunnel-Feldeffekttransistor aus schwarzem Phosphor für Schalter mit geringer Leistung. Nat. Nanotechnologie. 15, 203–206 (2020).

Artikel ADS CAS PubMed Google Scholar

Cao, W. et al. Entwicklung von Band-zu-Band-Tunnel-Feldeffekttransistoren mit 2D-Halbleitern für Low-Power-VLSI der nächsten Generation. Im IEEE International Electron Devices Meeting 12.3.1–12.3.4 (IEEE, 2015).

Ross, IM Halbleiter-Übersetzungsgerät. US-Patent 2791760 (1957).

Scott, JF & Araujo, C. Ferroelektrische Erinnerungen. Wissenschaft 246, 1400–1405 (1989).

Artikel ADS CAS PubMed Google Scholar

Zhou, J. et al. Frequenzabhängigkeit der Leistung von Ge-PFETs mit negativer Kapazität, die einen Swing von weniger als 30 mV/Dekade und eine Hysterese von 110 mV erreichen. In IEEE International Electron Devices Meeting 373–376 (IEEE, 2017).

Z. Yu et al. 2D-MoS2-Transistoren mit negativer Kapazität mit einem Unterschwellenhub von unter 60 mV/Dez über 6 Ordnungen, einer Stromdichte von 250 µA/µm und nahezu hysteresefrei. In IEEE International Electron Devices Meeting 577–580 (IEEE, 2017).

Si, M. et al. Hysteresefreie MoS2-Transistoren mit negativer Kapazität und steiler Flanke. Nat. Nanotechnologie. 13, 24–28 (2018).

Lee, M. et al. Ferroelektrische Al:HfO2-FETs mit negativer Kapazität. In IEEE International Electron Devices Meeting 565–568 (IEEE, 2017).

Fan, C. et al. Energieeffizienter HfAlOx-NCFET: nutzt Gate-Dehnung und Defektpassivierung, um einen nahezu hysteresefreien Schalter unter 25 mV/Dez. mit extrem geringem Leckstrom zu realisieren. In IEEE International Electron Devices Meeting 561–564 (IEEE, 2017).

Chung, W. et al. Hysteresefreie Germanium-CMOS-FinFETs mit negativer Kapazität und bidirektionalem Sub-60 mV/Dez. In IEEE International Electron Devices Meeting 365–368 (IEEE, 2017).

Cao, W. & Banerjee, K. Ist ein FET mit negativer Kapazität ein Logikschalter mit steiler Flanke? Nat. Komm. 11, 196 (2020). Diese Studie entmystifiziert die grundlegenden Einschränkungen von NC-FETs und identifiziert alternative Rollen von NC im FET-Design.

Artikel ADS CAS PubMed PubMed Central Google Scholar

Wang, H. et al. Neue Erkenntnisse zum physikalischen Ursprung der negativen Kapazität und Hysterese in NCFETs. Im IEEE International Electron Devices Meeting 31.1.1–31.1.4 (IEEE, 2018).

Li, Im IEEE International Electron Devices Meeting 31.3.1–31.3.4 (IEEE, 2018).

Jin, C., Jang, K., Saraya, T., Hiramoto, T. & Kobayashi, M. Experimentelle Studie über die Rolle der Polarisationsumschaltung bei den Eigenschaften unterhalb des Schwellenwerts von ferroelektrischen und antiferroelektrischen FETs auf HfO2-Basis. Im IEEE International Electron Devices Meeting 31.5.1–31.5.4 (IEEE, 2018).

Toprasertpong, K., Takenaka, M. & Takagi, S. Direkte Beobachtung des Grenzflächenladungsverhaltens in FeFET durch quasistatische Split-CV- und Hall-Techniken: Aufdeckung des FeFET-Betriebs. Im IEEE International Electron Devices Meeting 23.7.1–23.7.4 (IEEE, 2019).

Su, LT, Naffziger, S. & Papermaster, M. Multi-Chip-Technologien zur Steigerung der Rechenleistung im nächsten Jahrzehnt. Im IEEE International Electron Devices Meeting 1–8 (IEEE, 2017).

Huang, C. et al. 3-D selbstausrichtende gestapelte NMOS-auf-PMOS-Nanobandtransistoren für die weitere Skalierung nach dem Mooreschen Gesetz. Im IEEE International Electron Devices Meeting 20.6.1–20.6.4 (IEEE, 2020).

Banerjee, K., Souri, S., Kapur, P. & Saraswat, K. 3-D-ICs: ein neuartiges Chipdesign zur Verbesserung der Verbindungsleistung im tiefen Submikrometerbereich und der System-on-Chip-Integration. Proz. IEEE 89, 602–633 (2001). Eine umfassende Abhandlung über 3D- und heterogene Integration aus schaltungs-, system-, thermischer und technologischer Sicht.

Artikel CAS Google Scholar

Batude, P. et al. Fortschritte bei der sequentiellen 3D-CMOS-Integration. Im IEEE International Electron Devices Meeting 14.1.1–14.1.4 (IEEE, 2009).

Wei, H. et al. Kühlung dreidimensionaler integrierter Schaltkreise mithilfe von Stromversorgungsnetzen. In IEEE International Electron Devices Meeting 327–330 (IEEE, 2012).

Jiang, J., Parto, K., Cao, W. & Banerjee, K. Ultimative monolithische 3D-Integration mit 2D-Materialien: Gründe, Perspektiven und Herausforderungen. IEEE J. Electron Devices Soc. 7, 878–887 (2019). Eine detaillierte Analyse, die die Vorteile der monolithischen und heterogenen 3D-Integration mit 2D-Materialien quantifiziert.

Artikel Google Scholar

Sachid, A. et al. Monolithischer 3D-CMOS mit geschichteten Halbleitern. Adv. Mater. 28, 2547–2554 (2016).

Artikel CAS PubMed Google Scholar

Jiang, J., Kang, J., Chu, J. & Banerjee, K. Vollkohlenstoff-Verbindungsschema, das Graphendrähte und Kohlenstoff-Nanoröhren-Durchkontaktierungen integriert. In IEEE International Electron Devices Meeting 342–345 (IEEE, 2017).

Shulaker, M. et al. Monolithische 3D-Integration von Logik und Speicher: Kohlenstoff-Nanoröhrchen-FETs, Widerstands-RAM und Silizium-FETs. In IEEE International Electron Devices Meeting 638–641 (IEEE, 2014).

Zhang, D., Yeh, C., Cao, W. & Banerjee, K. 0.5T0.5R – eine ultrakompakte RRAM-Zelle, die auf einzigartige Weise durch Van-der-Waals-Heterostrukturen ermöglicht wird. IEEE Trans. Electron Devices 68, 2033–2040 (2021). Ein neuartiges FET-RRAM-Hybridgerät.

Artikel ADS CAS Google Scholar

Silver, D. et al. Das Go-Spiel mit tiefen neuronalen Netzen und Baumsuche meistern. Natur 529, 484–489 (2016).

Artikel ADS CAS PubMed Google Scholar

Gonzalez-Zalba, M. et al. Skalierung des siliziumbasierten Quantencomputings mithilfe der CMOS-Technologie. Nat. Elektron. 4, 872–884 (2021).

Artikel Google Scholar

Maurand, R. et al. Ein CMOS-Silizium-Spin-Qubit. Nat. Komm. 7, 13575 (2016). Demonstration eines Spin-Qubits basierend auf CMOS-Technologie.

Artikel ADS CAS PubMed PubMed Central Google Scholar

Merolla, P. et al. Ein integrierter Schaltkreis mit einer Million Spiking-Neuronen und einem skalierbaren Kommunikationsnetzwerk und einer skalierbaren Schnittstelle. Wissenschaft 345, 668–673 (2014).

Artikel ADS CAS PubMed Google Scholar

Davies, M. et al. Loihi: ein neuromorpher Manycore-Prozessor mit On-Chip-Lernen. IEEE Micro 38, 82–99 (2018).

Artikel Google Scholar

Burd, T. et al. Zen3: der 7-nm-x86-64-Mikroprozessorkern der 2. Generation von AMD. In der IEEE International Solid-State Circuits Conference 1–3 (IEEE, 2022).

Referenzen herunterladen

KB dankt dem Army Research Office (Zuschuss W911NF1810366), dem Air Force Office of Scientific Research (Zuschuss FA9550-18-1-0448), dem CREST-Programm der Japan Science and Technology Agency (Zuschuss SB180064) und der National Science Foundation (Zuschuss). CCF 2132820). KB dankt den folgenden Personen für ihre selbstlose Unterstützung bei der Organisation der Zusammenarbeit: T. Ernst, CEA-LETI, Grenoble, Frankreich; T. Sakurai, Universität Tokio, Tokio, Japan; J. Welser, IBM Almaden Research Center, San Jose, USA. KB dankt außerdem S. Oda, Tokyo Institute of Technology, Ōokayama, Japan, für nützliche Diskussionen.

Fakultät für Elektrotechnik und Informationstechnik, University of California Santa Barbara, Santa Barbara, CA, USA

Wei Cao & Kaustav Banerjee

Fortschrittliche Logik- und Speichertechnologie, IBM Research, Albany, NY, USA

Huiming Bu

Universität Grenoble Alpes, CEA-LETI, Grenoble, Frankreich

Maud Winet

Pathfinding, Taiwan Semiconductor Manufacturing Company, Hsinchu, Taiwan

Min Cao

Abteilung für Elektrotechnik und Informationssysteme, Universität Tokio, Tokio, Japan

Shinichi Takagi

Samsung Advanced Institute of Technology, Suwon-si, Korea

Sungwoo Hwang

Pathfinding and Technology Definition, Intel Corporation, Hillsboro, OR, USA

Tahir Ghani

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

Sie können diesen Autor auch in PubMed Google Scholar suchen

KB organisierte und leitete die Zusammenarbeit. WC und KB haben den Artikel mit Beiträgen aller anderen Autoren verfasst.

Korrespondenz mit Kaustav Banerjee.

Die Autoren geben an, dass keine Interessenkonflikte bestehen.

Nature dankt den anonymen Gutachtern für ihren Beitrag zum Peer-Review dieser Arbeit.

Anmerkung des Herausgebers Springer Nature bleibt hinsichtlich der Zuständigkeitsansprüche in veröffentlichten Karten und institutionellen Zugehörigkeiten neutral.

Ergänzende Abschnitte 1–4 und ergänzende Abbildungen. 1–2.

Springer Nature oder sein Lizenzgeber (z. B. eine Gesellschaft oder ein anderer Partner) besitzen die ausschließlichen Rechte an diesem Artikel im Rahmen einer Veröffentlichungsvereinbarung mit dem Autor bzw. den Autoren oder anderen Rechteinhabern. Die Selbstarchivierung der akzeptierten Manuskriptversion dieses Artikels durch den Autor unterliegt ausschließlich den Bedingungen dieser Veröffentlichungsvereinbarung und geltendem Recht.

Nachdrucke und Genehmigungen

Cao, W., Bu, H., Vinet, M. et al. Die zukünftigen Transistoren. Natur 620, 501–515 (2023). https://doi.org/10.1038/s41586-023-06145-x

Zitat herunterladen

Eingegangen: 19. August 2020

Angenommen: 27. April 2023

Veröffentlicht: 16. August 2023

Ausgabedatum: 17. August 2023

DOI: https://doi.org/10.1038/s41586-023-06145-x

Jeder, mit dem Sie den folgenden Link teilen, kann diesen Inhalt lesen:

Leider ist für diesen Artikel derzeit kein Link zum Teilen verfügbar.

Bereitgestellt von der Content-Sharing-Initiative Springer Nature SharedIt

Durch das Absenden eines Kommentars erklären Sie sich damit einverstanden, unsere Nutzungsbedingungen und Community-Richtlinien einzuhalten. Wenn Sie etwas als missbräuchlich empfinden oder etwas nicht unseren Bedingungen oder Richtlinien entspricht, kennzeichnen Sie es bitte als unangemessen.